半導體專業術語翻譯
A
1st level packaging 第一級封裝
2nd level packaging 第二級封裝
aberration 象差/色差
absorption 吸收
acceleration column 加速管
acceptor 受主
Accumulate v。 積聚, 堆積
acid 酸
acoustic streaming 聲學流
active region 有源區
activate 啟用
activated dopant 啟用雜質
active component 有源器件
adsorption 吸附
aerosol 懸浮顆粒
air ionizer 空氣電離化器
alignment mark 對準標記
alignment 對準
alloy 合金
alternate adj。 交替的, 輪流的, 預備的 v。 交替, 輪流, 改變
aluminum 鋁
aluminum subtractive process 鋁刻蝕工藝
ambient 環境
ammonia(NH3) 氨氣
ammonium fluoride(NH4F) 氟化氨
ammonium hydroxide(NH4OH) 氫氧化氨
amorphous 非晶的,無定型
analog 模擬訊號
angstrom 埃
anion 陰離子
anisotropic etch profile 各向異性刻蝕剖面
anneal 退火
antimony(sb) 銻
antirelective coating(ARC) 抗反射塗層
APCVD 常壓化學氣向澱積
application specific IC(ASIC) 專用積體電路
aqueous solution 水溶液
area array 面陣列
argon(Ar) n。 [化]氬
arsenic(As) 砷
arsine(AsH3) 砷化氫,砷烷
ashing 灰化,去膠
aspect ratio 深寬比,高寬比
aspect ratio dependent etching(ARDE) 與刻蝕相關的深寬比
asphyxiant 窒息劑
assay number 檢定數
atmospheric adj。 大氣的
atmospheric pressure 大氣壓
atmospheric pressure CVD(APCVD) 常壓化學氣向澱積
atomic force microscopy(AFM) 原子力顯微鏡
atomic number 原子序數
attempt n。 努力, 嘗試, 企圖 vt。 嘗試, 企圖
auger electron spectroscopy(AES) 俄歇電子能譜儀
autodoping 自摻雜
automatic defect classification(ADC) 缺陷自動分類
B
back-end of line(BEOL) (生產線)後端工序
backgrind 減薄
backing film 背膜
baffle vt。 困惑, 阻礙, 為難(擋片)
baffle assembly n。 集合, 裝配, 集會, 集結, 彙編 (擋片塊)
ball grid array(BGA) 球柵陣列
ballroom layout 舞廳式佈局,超淨間的佈局
barrel reactor 圓桶型反應室
barrier metal 阻擋層金屬
barrier voltage 勢壘電壓
base 基極,基區
batch 批
bay and chase layout 生產區和技術夾層區
beam blow-up 離子束膨脹
beam current 束流
beam deceleration 束流減速
beam energy 離子束能量
beol (生產線)後端工序
best focus 最佳聚焦
BGA 球柵陣列
Biasing 電壓拉偏
BICMOS 雙極CMOS
bincode number 分類程式碼號
bin map 分類圖
bipolar junction transistor(BJT) 雙極電晶體
bipolar technology 雙極技術(工藝)
bird’s beak effect 鳥嘴效應
blanket deposition 均厚澱積
blower 增壓泵
boat 舟
BOE 氧化層刻蝕緩衝劑Bon voyage [法]再見, 一路順風[平安]
bonding pads 壓點
bonding wire 焊線,引線
boron(B) 硼
boron trichloride(BCL3) 三氯化硼
boron trifluoride(BF3) 三氟化硼
borophosphosilicate glass(BPSG) 硼磷矽玻璃
borosilicate glass(BSG) 硼矽玻璃
bottom antireflective coating(BARC) 下減反射塗層
boule 單晶錠
bracket n。 牆上凸出的托架, 括弧, 支架 v。 括在一起
breakthrough step 突破步驟,起始的幹法刻蝕步驟
brightfield detection 亮場檢查
brush scrubbing 涮洗
bubbler 帶鼓泡槽
buffered oxide etch(BOE) 氧化層腐蝕緩衝液
bulk chemical distribution 批次化學材料配送
bulk gases 大批氣體
bulkhead equipment layout 穿壁式裝置佈局
bumped chip 凸點式晶片
buried layer 埋層
burn-box 燃燒室(或盒)
burn-in 老化
C
CA 化學放大(膠)
cantilever n。 [建]懸臂
cantilever paddle 懸臂槳
cap oxide 掩蔽氧化層
capacitance 電容
capacitance-voltage test(C-Vtest) 電容-電壓測試
capacitive coupled plasma 電容偶合等離子體
capacitor 電容器
carbon tetrafluoride(CF4) 四氟化碳
caro’s acid 3號液
carrier 載流子
carrier-depletion region 載流子耗盡層
carrier gas 攜帶氣體
cassette (承)片架
cation 陽離子
caustic 腐蝕性的
cavitation 超聲波能
CD 關鍵尺寸
CD-SEM 線寬掃描電鏡
Celsius adj。 攝氏的
center of focus(COF) 焦點 焦平面
center slow 中心慢速
central processing unit(CPU) 中央處理器
ceramic substrate 陶瓷封裝
CERDIP 陶瓷雙列直插封裝
Channel 溝道
channel length 溝道長度
channeling 溝道效應
charge carrier 載流子
chase 技術夾層
chelating agent 螯合劑
chemical amplification(CA) 化學放大膠
chemical etch mechanism 化學刻蝕機理
chemical mechanical planarization(CMP) 化學機械平坦化
chemical solution 化學溶液
chemical vapor deposition(CVD) 化學氣相澱積
chip 晶片
chip on board(COB) 板上晶片
chip scale package(CSP) 晶片尺寸封裝
circuit geometries 電路幾何尺寸
class number 淨化級別
cleanroom 淨化間
cleanroom protocol 淨化間操作規程
Clearfield mask 亮場掩膜板
Cluster tool 多腔整合裝置
CMOS 互補金氧半導體
CMP 化學機械平坦化
Coater/developer track 塗膠/顯影軌道
Cobalt silicide 鈷矽化合物
coefficient n。 [數]係數
Coefficient of thermal expansion(CTE) 熱漲係數
Coherence probe microscope 相干探測顯微鏡
Coherent light 相干光
coil v。 盤繞, 卷
Cold wall 冷壁
Collector 集電極
Collimated light 平行光
Collimated sputtering 準直濺射
Compensate v。 償還, 補償, 付報酬
Compound semiconductor 化合物半導體
Concentration 濃度
Condensation 濃縮
Conductor 導體
constantly adv。 不變地, 經常地, 堅持不懈地
Confocal microscope 共聚焦顯微鏡
Conformal step coverage 共型臺階覆蓋
Contact 接觸(孔)
Contact alignment 接觸式對準(光刻)
Contact angle meter 接觸角度儀
Contamination 沾汙、汙染
conti boat 連柱舟
conticaster [冶]連鑄機
Continuous spray develop 連續噴霧顯影
Contour maps 包絡圖、等點陣圖、等值圖
Contrast 對比度、反差
contribution n。 捐獻, 貢獻, 投稿
Conventional-line photoresist 常規I線光刻膠
Cook’s theory 庫克理論
Copper CVD 銅CVD
Copper interconnect 銅互連
Cost of ownership(COO) 業主總成本
Covalent bond 共價鍵
Critical dimension 關鍵尺寸
Cryogenic aerosol cleaning 冷凝浮質清洗
Cryogenic pump(cryopump) 冷凝泵
Crystal 晶體
Crystal activation 晶體啟用
Crystal defect 晶體缺陷
Crystal growth 晶體生長
Crystal lattice 晶格
Crystal orientation 晶向
CTE 熱漲係數
Current-driven current amplifier 電流驅動電流放大器
CVD 化學氣相澱積
Cycle time 週期
CZ crystal puller CZ拉單晶裝置
Czochralski(CZ) method 切克勞斯基法
D
damascene 大馬士革工藝
darkfiled detection 暗場檢測
darkfiled mask 暗場掩膜版
DC bias 直流偏壓
decompose v。 分解, (使)腐爛
deep UV(DUV) 深紫外光
default n。 預設(值), 預設(值), 食言, 不履行責任, [律]缺席 v。 疏怠職責, 缺席, 拖欠, 預設
defects density 缺陷密度
defect 缺陷
deglaze 漂氧化層
degree of planarity(DP) 平整度
dehydration bake 去溼烘培,脫水烘培
density 密度
deplention mode 耗盡型
degree of focus 焦深
deposit n。 堆積物, 沉澱物, 存款, 押金, 保證金, 存放物 vt。 存放, 堆積 vi。 沉澱
deposition 澱積
deposited oxide layer 澱積氧化層
depth of focus 焦深
descum 掃底膜
design for test(DFT) 可測試設計
desorption 解吸附作用
develop inspect 顯影檢查
development 顯影
developer 顯影液
deviation n。 背離
device isolation 器件隔離
device technology 器件工藝
DI water 去離子水
Diameter n。 直徑
diameter grinding 磨邊
diborane (B2H6)乙硼烷
dichlorosilane(H2SiCL2) 二氯甲矽烷
die 晶片
die array 晶片陣列
die attach 粘片
die-by-die alignment 逐個晶片對準
dielectric 介質
dielectric constant 介電常數
die matrix 晶片陣列
die separation 分片
diffraction 衍射
diffraction-limited optics 限制衍射鏡片
diffusion 擴散
diffusion controlled 受控擴散
digital/analog 數字/模擬
digital circuit
diluent
direct chip attach( DCA)
directionality
discrete
dishing
dislocation
dissolution rate
dissolution rate monitor(DRM) 溶解率監測
DNQ-novolak 重氮柰醌-酚醛樹脂
Donor 施主
dopant profile 摻雜刨面)
doped region 摻雜區
doping 摻雜
dose monitor 劑量檢測儀
dose,Q 劑量
downstream reactor 順流法反應
drain 漏
drive-in 推進
dry etch 幹法刻蝕
dry mechanical pump 乾式機械泵
dry oxidation 幹法氧化
dummy n。 啞巴, 傀儡, 假人, 假貨 adj。 虛擬的, 假的, 虛構的 n。 [計] 啞元
dynamic adj。 動力的, 動力學的, 動態的
E
economies of scale 規模經濟
edge bead removal 邊緣去膠
edge die 邊緣晶片
edge exclusion 無效邊緣區域
electrically erasable PROM電可擦除EPROM
electrode 電極
electromigration 電遷徙
electron beam lithography 電子束光刻
electron cyclotron resonance 電子共振迴旋加速器
electron shower 電子簇射,電子噴淋
electron stopping 電子阻止
electronic wafer map 矽片上電效能分佈圖
electroplating 電鍍
electropolishing 電解拋光
electrostatic chuck 靜電吸盤
electrostatic discharge(ESD) 靜電放電
ellipsometry 橢圓偏振儀,橢偏儀
emitter 發射極
endpoint detection 終點檢測
engineering n。 工程(學)
electrostatic discharge(EDX) 能量彌散譜儀
enhancement mode 增強型
epi 外延
epitaxial layer 外延層
epoxy underfill 環氧樹脂填充不足
erasable PROM 可擦除可程式設計只讀儲存器
erosion 腐蝕,浸蝕
establish vt。 建立, 設立, 安置, 使定居, 使人民接受, 確定 v。 建立
etch 刻蝕
etch bias 刻蝕漲縮量
etch profile 刻蝕刨面
etch rate 刻蝕速率
etch residue 刻蝕殘渣
etch uniformity 刻蝕均勻性
etchant 刻蝕劑
etchback planarization 返刻平坦化
eutectic attach 共晶焊接
eutectic temperature 共晶溫度
evaporation 蒸發
even adj。 平的, 平滑的, 偶數的, 一致的, 平靜的, 恰好的, 平均的, 連貫的 adv。 [加強語氣]甚至(。。。也), 連。。。都, 即使, 恰好, 正當 vt。 使平坦, 使相等 vi。 變平, 相等 n。 偶數, 偶校驗
exceed vt。 超越, 勝過 vi。 超過其他
excimer laser 準分之鐳射
exposal n。 曝光, 顯露
exposure 曝光
exposure dose 曝光量
extraction electrode 吸極
extreme UV 極紫外線
extrinsic silicon 摻雜矽
F
Fables 無製造廠公司
fabrication 製造
facilities 設施
factor n。 因素, 要素, 因數, 代理人
fast ramp furnaces 快速升降溫爐
fault model 失效模式
FCC diamond 面心立方金剛石
feature size 特徵尺寸
FEOL 前工序
Fick’s laws FICK定律
field-effect transistor 場效應電晶體
field oxide 場氧化
field-by-field alignment 逐場對準
field-programmable PROM 現場可程式設計只讀儲存器
film 膜
film stress 膜應力
final assembly and packaging 最終裝配和封裝
final test 終測
first interlayer dielectric(ILD-1)第一層層間介質
fixed oxide charge 固定氧化物電荷
flats 定位邊
flip chip 倒裝晶片
float zone 區熔法
fluorosilicate glass(FSG) 氟化玻璃
focal length 焦距
focal plane 焦平面
focal point 焦點
focus 聚焦
focus ion beam(FIB) 聚焦離子束
footprint 佔地面積
formula n。 公式, 規則, 客套語
forward bias 正偏壓
four-point probe 四探針
frenkel defect Frenkel缺陷
front-opening unified pod(FOUP) 前開口盒
functional test 功能測試
furnace flat zone 恆溫區
G
g-line G線
gallium(Ga) 鎵
gallium arsenide(GaAs) 砷化鎵
gap fill 間隙填充
gas 氣體
gas cabinet 氣櫃
gas manifold 氣瓶集裝
gas phase nucleation 氣相成核
gas purge 氣體沖洗
gas throughput 氣體產量
gate 柵
gate oxide 柵氧化矽
gate oxide integrity 柵氧完整性
germanium(Ge) 鍺
getter 俘獲
glass 玻璃
glazing 光滑表面
global alignment 全域性對準
global planarization 全域性平坦化
glow discharge 起輝放電
gray area 灰區,技術夾層
gross defect 層錯
grove n。 小樹林
grown oxide layer 熱氧化生長氧化層
H
Halogen 鹵素
hardbake 堅膜
hardware n。 五金器具, (電腦的)硬體, (電子儀器的)部件
HEPA filter 高效過濾器
hermetic sealing 密封
heteroepitaxy 異質外延
heterogeneous reaction 異質反應
hexamethyldisilazane(HMDS)六甲基二矽氨烷
high-density plasma(HDPCVD) 高密度等離子體化學氣相澱積
high-density plasma etch 高密度等離子刻蝕
high-pressure oxidation 高壓氧化
high-temperature diffusion furnace 高溫擴散爐
high vacuum 高真空
high vacuum pumps 高真空泵
hillock 小丘(鋁)尖刺
homoepitaxy 同質外延
homogeneous reaction 同質反應
horizontal adj。 地平線的, 水平的
horizontal furnace 臥式爐
hot electron 熱電子
hot wall 熱壁
hydrochloric acid(HCL) 鹽酸
hydrofluoric acid(HF) 氫氟酸
hydrogen(H2) 氫氣
hydrogen chloride(HCL) 氯化氫
hydrogen peroxide(H2O2) 雙氧水
hydeophilic 親水性
hydrophobic憎水性,疏水性
hyperfiltration 超過濾
I
i-line I線
IC packaging 積體電路封裝
IC reliability 積體電路可靠性
Iddq testing 靜態漏電流測試
image resolution 圖象清晰度 圖象分解力
implant v。 灌輸(注入)
impurity 雜質
increment n。 增加, 增量
initial adj。 最初的, 詞首的, 初始的 n。 詞首大寫字母
in situ measurements 線上測量
index of refraction 折射率
indium 銦
inductively coupled plasma(ICP) 電感耦合等離子體
inert gas 惰性氣體
infrared interference 紅外干涉
ingot 錠
ink mark 墨水標識
in-line parametric test 線上引數測試
input/output(I/O)pin 輸入/輸出管腳
institute n。 學會, 學院, 協會 vt。 創立, 開始, 制定, 開始(調查), 提起(訴訟)
insulator 絕緣體
integrate vt。 使成整體, 使一體化, 求。。。的積分 v。結合
integrated circuit(IC)積體電路
integrated measurement tool 積體電路測量儀
interval n。 間隔, 距離, 幕間休息 n。 時間間隔
interconnect 互連
interconnect delay 互連連線延遲
interface-trapped charge 介面陷阱電荷
interferometer 干涉儀
interlayer dielectric(ILD) 層間介質
interstitial 間隙(原子)
intrinsic silicon 本徵矽
invoke v。 呼叫
ion 離子
ion analyzer 離子分析儀
ion beam milling or ion beam etching(IBE) 離子銑或離子束刻蝕
ion implantation 離子注入
ion implantation damage 離子注入損傷
ion implantation doping 離子注入摻雜
ion implanter 離子注入機
ion projection lithography(IPL) 離子投影機
ionization 離子化
ionized metal plasma PVD 離子化金屬等離子PVD
IPA vapor dry 異丙醇氣相干燥
isolation regions 隔離區
isotropic etch profile 各向同性刻蝕刨面
J
JEFT 結型場效電晶體
junction(pn) PN接面
junction depth 結深
junction spiking 結尖刺
K
Kelvin 絕對溫度
killer defect 致命缺陷
kinetically controlled reaction 功能控制效應
L
laminar air flow 層狀空氣流,層流式
lapping 拋光
latchup 閂鎖效應
lateral diffusion 橫向擴散
law of reflection 反射定律
LDD 輕摻雜漏
Leadframe 引線框架
leakage cuttent 漏電流
len 透鏡
lens compaction 透鏡收縮
light 光
light intensity 光強
light scattering 光散射
lightly doped drain(LDD) 輕摻雜漏
linear 線性
linear accelerator 線性加速器
linear stage 線寬階段,線性區
linewidth 線寬
liquid 液體
lithography 光刻
loaded brush 沾汙的毛刷
loaded effect 負載效應
loadlock 真空鎖
local interconnect(LI) 區域性互連
local planarization 區域性平坦化
local oxidation of silicon(LOCOS) 矽區域性氧化隔離法
logic 邏輯
lot 批
low-pressure chemical vapor deposition (LPCVD) 低壓化學氣相澱積
LSI 大規模積體電路
M
magnetic CZ(MCZ)磁性切克勞斯基晶體生長法
magnetically enhanced RIE(MERIE) 磁增強反應離子刻蝕
magnetron sputtering 磁控濺射
Magnification n。 擴大, 放大倍率
magnificent adj。 華麗的, 高尚的, 宏偉的
majority carrier 多子
make-up loop 補償迴圈
mask 掩膜版 n。 面具, 掩飾, 石膏面像 vt。 戴面具, 掩飾, 使模糊 vi。 化裝, 戴面具, 掩飾, 參加化裝舞會
mask-programmable gate array 掩膜可程式設計門陣列
mass flow controller(MFC) 質量流量計
mass spectrometer 質譜儀
mass-transport limited reaction 質量傳輸限制效應
mathematical adj。 數學的, 精確的
mean free path(MFP) 平均自由程
medium vacuum 中真空
megasonic cleaning 超聲清洗
melt 熔融
membrane contactor 薄膜接觸器,隔膜接觸器
membrane filter 薄膜過濾器,隔膜過濾器
merchant n。 商人, 批發商, 貿易商, 店主 adj。 商業的, 商人的
mercury arc lamp 汞燈
MESFET 用在砷化鎵結型場效應電晶體中的金屬柵
metal contact 金屬接觸孔
metal impurities 金屬雜質
metal stack 複合金屬,金屬堆疊
metallization 金屬化
metalorganic CVD 金屬有機化學氣相澱積
metrology 度量衡學
microchip 微晶片
microdefect 微缺陷
microlithography 微光刻
microloading 微負載,與刻蝕相關的深寬比
micron 微米
microprocessor n。 [計]微處理器
microprocessor unit 微處理器
microroughness 微粗糙度
Miller indices 密勒指數
minienvironment 微環境
minimum geometry 最小尺寸
minority carrier 少子
mix and match 混合與匹配
mobile ionic contaminants(MIC)可動離子沾汙
mobile oxide charge 可動氧化層電荷
module n。 模數, 模組, 登月艙, 指令艙
modify vt。 更改, 修改 v。 修改
molecular beam epitaxy (MBE) 分子束外延
molecular flow 分子流
monitor wafer(test wafer) 陪片,測試片,樣片
monocrystal 單晶
monolithic device 單片器件
Moore‘s law 摩爾定律
MOS 金氧半導體
MOSFET 金氧半導體場效電晶體
motor curreant endpoint 電機電流終點檢測(法)
MSI 中規模積體電路
Multiplier n。 增加者, 繁殖者, 乘數, 增效器, 乘法器
multichip module(MCM) 多晶片模式
multilenel metallization 多重金屬化
Murphy’s model 墨菲模型
N
nanometer(nm) 奈米
native oxide 自然氧化層
n-channel MOSFET n溝道MOSFET
negatine resist 負性光刻膠
negative n。 否定, 負數, 底片 adj。 否定的, 消極的, 負的, 陰性的 vt。 否定, 拒絕(接受)
negatine resist development 負性光刻膠顯影
neutral beam trap 中性束陷阱
next-generation lithography 下一代光刻技術
nitric acid(HNO3) 硝酸
nitrogen(N2) 氮氣
nitrogen trifluoride(NF3) 三氟化氮
nitrous oxide (N2O) 一氧化二氮、笑氣
nMOS n溝道MOS場效應電晶體
noncritical layer 非關鍵層
nonvolatile memory 非揮發性儲存器
normality 歸一化
notch 定位槽
novolak 苯酚甲醛聚樹脂材料
npn npn型(三極體)
n-type silicon n型矽
nuclear stopping 離子終止
nucleation 成核現象,晶核形成
nuclei coalescence 核合併
numerical aperture(NA) 數值孔徑
n-well n阱
O
objective (顯微鏡的)物鏡
off-axis illumination(OAI) 偏軸式曝光,離軸式曝光
ohmic contact 歐姆接觸
op amp 運算放大器
optical interferometry endpoint 光學干涉法終點檢測
optical lithography 光學光刻
optical microscope(light microscope) 光學顯微鏡
optical proximity correction(OPC) 光學臨近修正
optical pyrometer 光學高溫計
optics 光學
organic compound 有機化合物
out-diffusion 反擴散
outgassing 除氣作用
overdrive 過壓力
overetch step 過刻蝕
overflow rinser 溢流清洗
overlay accuracy 套準精度
overlay budget 套準偏差
overlay registration 套刻對準
oxidation 氧化
oxidation-induced stacking faults(OISF) 氧化誘生層積缺陷,氧化誘生堆垛層錯
oxide 氧化物、氧化層、氧化膜
oxidezer 氧化劑
oxide-trapped charge 氧化層陷阱電荷
ozone(O3) 臭氧
P
package 封裝管殼
pad conditioning 墊修整
pad oxide 墊氧化膜
paddle 懸臂 n。 短槳, 划槳, 明輪翼 vi。 划槳, 戲水, 涉水 vt。 用槳劃, 攪, 拌
parabolic stage 拋物線階段
parallel-plate(planar)reactor 平板反應
parallel testing 並行測試
parameter 引數
parametric test 引數測試
parasitic 寄生
parasitic capacitance 寄生電容
parasitic resistance 寄生電阻
parasitic transistor 寄生電阻器
partial pressure 分壓
particle density 顆粒密度
particle per wafer per pass(PWP) 每步每片上的顆粒數
passivation 鈍化
passivation layer 鈍化層
passive components 無源元件
pattern sensitivity 圖形靈敏性
patterned etching 圖形刻蝕
pattern wafer 帶圖形矽片
patterning 圖形轉移,圖形成型,刻印
pc board 印刷電路版
p-channel MOSFET p溝道MOSFET
PCM 工藝控制監測
PEB 曝光後烘焙
PECVD 等離子體增強化學氣相澱積
PEL 允許曝露極限值
pellicle 貼膜
pentavalent 五價元素
perform vt。 履行, 執行, 表演, 演出 v。 完成任務
performing adj。 表演的, 履行的
perimete array 周邊陣列式(封裝)
pH scale pH值
phase-shift mask(PSM) 相移掩膜技術
phosphine(PH3) 磷化氫
phosphoric acid(H3PO4) 磷酸
phosphorus(P) 磷
phosphorus oxychloride(POCL3) 三氯氧磷
phosphosilicate glass(PSG) 磷矽玻璃
photoacid generator(PAG) 光酸產生劑
photoacoustics 光聲的
photoactive compound(PAC) 感光化合物
photography n。 攝影, 攝影術 光刻
photolithography 光刻(技術)
photomask 光掩膜
photoresist 光刻膠
photoresist stripping 去膠、光刻膠去除
physical etch mechanism 物理刻蝕機理
physical vapor deposition(PVD) 物理氣相澱積
pigtail 引出頭
pin grid array(PGA) 針柵陣列式(封裝)
pinhole 針孔
piranha 3號液
pitch 間距
planar 平面
planar capacitor 平面電容
planar process 平面工藝
planarization 平坦化
plasma 等離子體 n。 [解]血漿, 乳漿,[物]等離子體,等離子區
plasma-based dry cleaning 等離子體幹法清洗
plasma electron flood 等離子電子流
plasma enhanced CVD(PECVD) 等離子體增強CVD
plasma etch 等離子體刻蝕
plasma-induced damage 等離子體誘導損傷
plasma potential distribution 等離子體勢分佈
plastic dual in-line package(DIP) 雙列直插塑膠封裝
plastic leaded chip carrier(PLCC) 塑膠電極晶片載體
plastic packaging 塑膠封裝
plug 塞,填充vt。 堵, 塞, 插上, 插栓 n 塞子, 插頭, 插銷
pMOS(p-channel) p溝道MOS
pn junction diode pn 結型二極體
pnp pnp 型三極體
point defect 點缺陷
Poisson‘s model 泊松模型
polarization 極化,偏振
polarized light 極化光,偏振光
polish 拋光
polish rate 拋光速率
polished wafer edge(edge grind) 倒角
polishing loop 磨拋迴圈
polishing pad 拋光(襯)墊
polycide 多晶矽化物
polycrystal 多晶
polymer n。 聚合體
polymer formation 聚合物方程式
polymerization 聚合作用
polysilicon 多晶矽
polysilicon gate 多晶矽柵
portion n。 一部分, 一分
positive lithography 正性光刻
positive resist 正性光刻膠
positive resist development 正性光刻膠顯影
post-develop inspection 顯影后檢查
post-exposure bake(PEB) 曝光後烘焙
ppb 十億分之幾
ppm 百萬分之幾
ppt 萬億分之幾
preamorphization 預非晶化
precursor 先驅物
predeposition 預澱積
premetal dielectric(PMD) 金屬前介質
preston equation Preston方程
primary orientation flat 主定位邊
print bias光刻漲縮量
printed circuit boade(PCB) 印刷電路板
probe 探針
probe card 探針卡
prober 探針臺
process 工藝
process chamber 工藝腔,工藝反應室
process chemical 工藝化學
process control monitor(PCM) 工藝控制監測(圖形)
process latitude 工藝水平,工藝能力
process recipe 工藝選單
programmable array logic(PLA) 可程式設計陣列邏輯
programmable logic device 可程式設計邏輯器件
programmable read-only memory可程式設計只讀儲存器
projected range 投影射程
prompt n。 提示, 付款期限 vt。 提示, 鼓動, 促使, (給演員)提白 adj。 敏捷的, 迅速的, 即時的 adv。 準時地 n。 DOS命令:改變DOS系統提示符的風格
proportion n。 比例, 均衡, 面積, 部分 vt。 使成比例, 使均衡, 分攤
proportional adj。 比例的, 成比例的, 相稱的, 均衡的
proportional band 比例區, 比例帶, 比例尺範圍
proximity aligner 接近式光刻機
p-type silicon P型矽
puddle develop 攪拌式顯影
pump speed 抽氣速率
punchthrough 穿通
purge (衝氣)清洗
purge cycle (衝氣抽氣)清洗迴圈
PVD 物理氣相澱積
p-well P阱
pyrogenic steam 熱流
pyrogen 熱原(質)
pyrolytic熱解
pyrophoric 自燃的
Q
quad flatpack(QFP) 方型管殼封裝
quadrupole mass analyzer(QMA) 四極質量分析儀
quality measure 質量測量
quarz 石英
quarz tube 石英管
quarz wafer boat 石英舟
queue time 排隊時間
R
radiation damage 輻射損傷
radical 激發
random access memory(RAM) 隨機儲存器
range 射程
rapid thremal anneal(RTA) 快速熱退火
rapid thermal processor(RTP) 快速熱處理
RCA clean RCA清洗
reaction rate limited 反應速率限制
reactive ion etch(RIE) 反應離子刻蝕
reactivity 反應性
reactor 反應室,反應腔
read-only memory(ROM) 只讀儲存器
recombination 複合
redistribution 再分佈
reflection spectroscopy 反射光譜儀
reflective notching 反射開槽
reflow 迴流
refraction 折射
refractory metal 難融金屬
regeneration再生
regeneration套準精度
relative index of refraction,n
removal n。 移動, 免職, 切除
repeat n。 重複, 反覆 vt。 重做, 複述, 向他人轉述, 複製, 使再現 vi。 重複, 留有味道
representation n。 表示法, 表現, 陳述, 請求, 扮演, 畫像, 繼承, 代表
reset v。 重新安排
residual gas analyzer(RGA) 殘餘氣體分析器
resist 光刻膠
resist development 光刻膠顯影
resistance 電阻
resistivity 電阻率
resolution 解析度
reticle 掩膜版
retrograde well 倒摻雜阱
reverse bias 反偏
reverse osmosis(RO) 反向滲透
RF 射頻
RF sputtering 射頻濺射
rinse v。 嗽口, (用清水)刷, 沖洗掉, 漂淨 n。 清洗 嗽洗, 漂洗, 漂清, 沖洗
RO 反向滲透
Roots blower 羅茨(機械增壓)泵
roughing pump 低真空泵,機械泵
RTA 快速熱退火
RTP 快速熱處理
S
satisfy vt。 滿足, 使滿意, 說服, 使相信 v。 滿意, 確保
Scaling 按比例縮小
SCALPEL 具有角度限制分散投影電子束光刻
Scanner 掃描器
scanning electron microscope(SEM) 掃描電子顯微鏡
scanning projection aligner 掃描投影光刻機
schottky diode 肖特基二極體
screen oxide layer 掩蔽氧化層
scribe line 劃片道
scribe line monitor(SLM) 劃片線監測
scumming 底膜
secondary electron 二次電子
secondary electron flood 二次電子流
secondary ion mass spectrometry(SIMS) 二次離子質譜(法)
seed’s model SEED模型
selective etching 選擇性刻蝕
selective oxidation 選擇性氧化
selectivity 選擇性
semiconductor grade silicon 半導體極矽
semiconductor 半導體
sensitivity靈敏度
shallow trench isolation(STI)淺溝槽隔離
sheet resistance,RS 方塊電阻
sheet resistivity,ρs方塊電阻率
shot size 膠(點)尺寸
shrinking 縮小
SI units 公制
Sidewall spacer 側牆
Silane(siH4) 矽烷
Silicide 矽化合物
silicon 矽
silicon dioxide(SIO2) 二氧化矽
silicon nitride(SI3N4) 氮化矽
silicon on sapphire 藍寶石傷矽
silicon on insulator(SOI)絕緣體上矽
silicon tetrachloride(SIC4) 碳化矽
silicon tetrafluoride(SIF4)四氟化矽
silicon tetrachloride(SICL4)四氯化矽
single crystal silicon 單晶矽
silylation 矽烷化(作用)
SIMOX 由注入氧隔離,一種SOI材料
single crystal 單晶
slip滑移
slurry 磨料
SMIF 標準機械介面
Sodium hydroxide(NaOH)氫氧化鈉
soft bake 前烘
solid 固體
solvent 溶劑
SOS 藍寶石上矽
Source 源
source drain implants 源漏注入
spacer n。 取間隔的裝置, 逆電流器
spatial coherence 空間相干
spatial signature analysis 空間訊號分析
specialty gase 特種氣體
species 種類
specific gravity 比重
specific heat 比熱
speckle 斑點
spectroscipic ellipsometry 橢圓偏振儀
spin coating 光刻膠旋塗
spin dryer 旋轉式甩幹桶
spin-on-dielectric(SOD)旋轉介質法
spin-on-glass(SOG)旋轉玻璃法
spray cleaning 噴霧清洗
spray rinser 噴霧清洗槽
spreading resistance probe 擴散電阻探測
sputter n。 噴濺聲, 劈啪聲, 急語, 咕噥 vi。 唾沫飛濺, 發劈啪聲, 急忙地講 vt。 噴出, 飛濺出, 氣急敗壞地說
sputtering 濺射
sputter etch 濺射刻蝕
sputtered aluminum 濺射鋁
sputtering yield 濺射產額
SSI 小規模積體電路
stacking fault 層積缺陷,堆垛層錯
standard clean 1(SC-1) 1號清洗液
standard clean 2(SC-2) 2號清洗液
standard mechanical interface(SMIF) 機械標準介面
standing wave 駐波
static RAM 靜態儲存器
statistical process control(SPC)統計過程控制
step coverage 臺階覆蓋
step height 臺階高度
step-and-repeat aligner 分步重複光刻機
step-and-scan system 步進掃描光刻機
stepper 步進光刻機
stepping motor driver 步進電機驅動器電路
stepper 步進光刻機
stoichiometry 化學計量(配比)
staggle 投射標準偏差
stress 應力
striation 條紋
strip vt。 剝, 剝去 n。 條, 帶
stripping 去膠
structure 結構
subatmospheric CVD 亞大氣壓化學氣相澱積
submicron 亞微米
sub-quarter micron 亞0。25微米
substrate 襯底
sublimation 昇華
substitutional atom 替位原子
subtract v。 (~ from)減去, 減
subwaverlength lithography 亞波長光刻
sulfur hexafluoride(SF6) 六氟化硫
sulfuric acid(H2SO4)硫酸
surface profiler 表面形貌
surface tension 表面張力
susceptor 基座
T
target chamber 靶室
target 靶
temperature ramp rate 溫度斜率
temperature溫度
TEOS 正矽酸乙脂
test algorithm 測試演算法
test coverage 測試覆蓋
test structure 測試結構
test vector 測試向量
thermal budget 熱預算
thermal oxide 熱氧化
thermocompression bonding 熱壓鍵合
thermocouple 熱電偶
thermogravimetric analysis (TGA) 熱重量分析
thermosonic bonding 熱超聲鍵合
thin film 薄膜
thin small outline package(TSOP) 薄小型封裝
III-V compound 三/五族化合物
thorough adj。 十分的, 徹底的
Threshold 域值
threshold voitage 域值電壓
threshold voltage adjustment implant 調柵注入,域值調整注入
throughput 產量
tilt [tilt] v。 (使)傾斜, (使)翹起, 以言詞或文字抨擊
time of flight SIMS(TOF-SIMS) 飛行時間二次離子質譜
titanium silicide 鈦矽化合物
TLV 極限域值
top surface imaging 上表面圖形
topography 形貌
torr 託
toxic 有毒
track system(also track) 軌道系統
transient enhanced diffusion(TED) 瞬時增強擴散
transistor 電晶體
trench 槽
trench capacitor 槽電容
trichlorosilane(TCS or SiHCL3) 三氯氫矽
triode planar reactor 三真空管平面反應室
triple well 三阱
trivalent 三價
tungsten(W) 鎢
tungsten stch back 鎢反刻
tungsten hexafluoride(WF6) 六氟化鎢
tungsten plug 鎢塞,鎢填充
turbomolecular pump(turbo pump) 渦輪分子泵
twin planes(twinning) 雙平面
twin-well(twin-tub) 雙阱
U
ULSI 甚大規模積體電路
ultralow penetration air(ULPA)超低穿透空氣
ultrafiltration 超過濾
ultrafine particle 超細顆粒
ultrahigh purity 超高純度
ultrahigh vacuum 超高真空
ultrashallow junction 超淺結
ultrashallow junction 超聲鍵合(壓焊)
ultraviolet 紫外線
undercut 鑽蝕
uniformity 均勻性
unit cell 元包,晶胞
unpatterned etching(spripping) 無圖形刻蝕(剝離)
unpatterned wafer 無圖形矽片
unplug v。 拔去(塞子,插頭等), 去掉。。。的障礙物
UV 紫外線
V
Vacancy 空位
vacuum 真空
vacuum wand 真空吸片棒,真空鑷子
van der pauw method 範德堡法
vapor phase epotaxy(VPE) 氣相外延
vapor pressure 氣壓
vapor prime 氣相燻增粘劑,氣相成底膜
vaporization 氣化
variable n。 [數]變數, 可變物, 變數 adj。 可變的, 不定的, 易變的, [數]變數的
variable angle spectriscipic ellipsometry(VASE) 可變角度橢偏儀
variation n。 變更, 變化, 變異, 變種, [音]變奏, 變調
various adj。 不同的, 各種各樣的, 多方面的, 多樣的
vertical furnace 立式爐
via 通孔
viscous flow 粘滯流
VLSI 超大規模積體電路
volatile memory 揮發性儲存器
volatile 揮發
voltage regulator 溫壓器
W
wafer cassette 矽片架
wafer charging 矽片充電
wafer electrical test(WET) 矽片電學測試
wafer etch 矽片刻蝕
wafer flat or notch 矽片定位邊或定位凹槽
wafer flatness 矽片平整度
wafer-level reliability(WLR) 矽片可靠性
wafer slicing 矽片劃片
wafer sort yield 矽片分選成品率
wafer sort 矽片分選
wafer test 矽片測試
wafer tilt 矽片傾斜
wafer to wafer non-uniformity(WTWNU)片間不均勻性
wafer-level packaging 圓片級封裝
wafer deionization 水去除離子
wavelength dispersive spectrometer(WDX) 波長彌散譜儀
well 阱
WET 矽片電學測試
wet cleaning station 溼法清洗臺
wet etch 溼法刻蝕
wet oxidation 溼法氧化
wet sink 清洗槽
wirebonding 引線鍵合
wiring 連線
within-wafer nonuniformity(WIWNU) 片內不均勻性
X
X-ray X射線
X-ray fluorescence(XRF) X射線熒光性
X-ray lithography X射線光刻
X-ray photoelectron spectroscopy (XPS) X射線光電能譜儀
Y
Yield 成品率
Yield management system 成品率管理系統
Z
Zeta potential zeta電勢
zone n。 地域, 地帶, 地區, 環帶, 圈 vt。 環繞, 使分成地帶 vi。 分成區