您當前的位置:首頁 > 攝影

收藏,半導體一些術語的中英文對照 | 半導體行業觀察

作者:由 張競揚 摩爾精英 發表于 攝影時間:2018-06-25

半導體產業作為一個起源於國外的技術,很多相關的技術術語都是用英文表述。且由於很多從業者都有海外經歷,或者他們習慣於用英文表述相關的工藝和技術節點,那就導致很多的英文術語被翻譯為中文之後,很多人不能對照得上,或者不知道怎麼翻譯。在這裡我們整理一些常用的半導體術語的中英文版本,希望對大家有所幫助。如果當中有出錯,請幫忙糾正,謝謝!

常用半導體中英對照表

離子注入機 ion implanter

LSS理論 Lindhand Scharff and Schiott theory,又稱“林漢德-斯卡夫-斯高特理論”。

溝道效應 channeling effect

射程分佈 range distribution

深度分佈 depth distribution

投影射程 projected range

阻止距離 stopping distance

阻止本領 stopping power

標準阻止截面 standard stopping cross section

退火 annealing

啟用能 activation energy

等溫退火 isothermal annealing

鐳射退火 laser annealing

應力感生缺陷 stress-induced defect

擇優取向 preferred orientation

製版工藝 mask-making technology

圖形畸變 pattern distortion

初縮 first minification

精縮 final minification

母版 master mask

鉻版 chromium plate

幹版 dry plate

乳膠版 emulsion plate

透明版 see-through plate

高解析度版 high resolution plate, HRP

超微粒幹版 plate for ultra-microminiaturization

掩模 mask

掩模對準 mask alignment

對準精度 alignment precision

光刻膠 photoresist,又稱“光致抗蝕劑”。

負性光刻膠 negative photoresist

正性光刻膠 positive photoresist

無機光刻膠 inorganic resist

多層光刻膠 multilevel resist

電子束光刻膠 electron beam resist

X射線光刻膠 X-ray resist

刷洗 scrubbing

甩膠 spinning

塗膠 photoresist coating

後烘 postbaking

光刻 photolithography

X射線光刻 X-ray lithography

電子束光刻 electron beam lithography

離子束光刻 ion beam lithography

深紫外光刻 deep-UV lithography

光刻機 mask aligner

投影光刻機 projection mask aligner

曝光 exposure

接觸式曝光法 contact exposure method

接近式曝光法 proximity exposure method

光學投影曝光法 optical projection exposure method

電子束曝光系統 electron beam exposure system

分步重複系統 step-and-repeat system

顯影 development

線寬 linewidth

去膠 stripping of photoresist

氧化去膠 removing of photoresist by oxidation

等離子[體]去膠 removing of photoresist by plasma

刻蝕 etching

幹法刻蝕 dry etching

反應離子刻蝕 reactive ion etching, RIE

各向同性刻蝕 isotropic etching

各向異性刻蝕 anisotropic etching

反應濺射刻蝕 reactive sputter etching

離子銑 ion beam milling,又稱“離子磨削”。

等離子[體]刻蝕 plasma etching

鑽蝕 undercutting

剝離技術 lift-off technology,又稱“浮脫工藝”。

終點監測 endpoint monitoring

金屬化 metallization

互連 interconnection

多層金屬化 multilevel metallization

電遷徙 electromigration

迴流 reflow

磷矽玻璃 phosphorosilicate glass

硼磷矽玻璃 boron-phosphorosilicate glass

鈍化工藝 passivation technology

多層介質鈍化 multilayer dielectric passivation

劃片 scribing

電子束切片 electron beam slicing

燒結 sintering

印壓 indentation

熱壓焊 thermocompression bonding

熱超聲焊 thermosonic bonding

冷焊 cold welding

點焊 spot welding

球焊 ball bonding

楔焊 wedge bonding

內引線焊接 inner lead bonding

外引線焊接 outer lead bonding

梁式引線 beam lead

裝架工藝 mounting technology

附著 adhesion

封裝 packaging

金屬封裝 metallic packaging

陶瓷封裝 ceramic packaging

扁平封裝 flat packaging

塑封 plastic package

玻璃封裝 glass packaging

微封裝 micropackaging,又稱“微組裝”。

管殼 package

管芯 die

引線鍵合 lead bonding

引線框式鍵合 lead frame bonding

帶式自動鍵合 tape automated bonding, TAB

鐳射鍵合 laser bonding

超聲鍵合 ultrasonic bonding

紅外來鍵合 infrared bonding

微電子辭典大集合

(按首字母順序排序)

A

Abrupt junction 突變結

Accelerated testing 加速實驗

Acceptor 受主

Acceptor atom 受主原子

Accumulation 積累、堆積

Accumulating contact 積累接觸

Accumulation region 積累區

Accumulation layer 積累層

Active region 有源區

Active component 有源元

Active device 有源器件

Activation 啟用

Activation energy 啟用能

Active region 有源(放大)區

Admittance 導納

Allowed band 允帶

Alloy-junction device

合金結器件 Aluminum(Aluminium) 鋁

Aluminum – oxide 鋁氧化物

Aluminum passivation 鋁鈍化

Ambipolar 雙極的

Ambient temperature 環境溫度

Amorphous 無定形的,非晶體的

Amplifier 功放 擴音器 放大器

Analogue(Analog) comparator 模擬比較器 Angstrom 埃

Anneal 退火

Anisotropic 各向異性的

Anode 陽極

Arsenic (AS) 砷

Auger 俄歇

Auger process 俄歇過程

Avalanche 雪崩

Avalanche breakdown 雪崩擊穿

Avalanche excitation雪崩激發

B

Background carrier 本底載流子

Background doping 本底摻雜

Backward 反向

Backward bias 反向偏置

Ballasting resistor 整流電阻

Ball bond 球形鍵合

Band 能帶

Band gap 能帶間隙

Barrier 勢壘

Barrier layer 勢壘層

Barrier width 勢壘寬度

Base 基極

Base contact 基區接觸

Base stretching 基區擴充套件效應

Base transit time 基區渡越時間

Base transport efficiency基區輸運係數

Base-width modulation基區寬度調製

Basis vector 基矢

Bias 偏置

Bilateral switch 雙向開關

Binary code 二進位制程式碼

Binary compound semiconductor 二元化合物半導體

Bipolar 雙極性的

Bipolar Junction Transistor (BJT)雙極電晶體

Bloch 布洛赫

Blocking band 阻擋能帶

Blocking contact 阻擋接觸

Body - centered 體心立方

Body-centred cubic structure 體立心結構

Boltzmann 波爾茲曼

Bond 鍵、鍵合

Bonding electron 價電子

Bonding pad 鍵合點

Bootstrap circuit 自舉電路

Bootstrapped emitter follower 自舉射極跟隨器

Boron 硼

Borosilicate glass 硼矽玻璃

Boundary condition 邊界條件

Bound electron 束縛電子

Breadboard 模擬板、實驗板

Break down 擊穿

Break over 轉折

Brillouin 布里淵

Brillouin zone 布里淵區

Built-in 內建的

Build-in electric field 內建電場

Bulk 體/體內 Bulk absorption 體吸收

Bulk generation 體產生

Bulk recombination 體複合

Burn - in 老化

Burn out 燒燬

Buried channel 埋溝

Buried diffusion region 隱埋擴散區

C

Can 外殼

Capacitance 電容

Capture cross section 俘獲截面

Capture carrier 俘獲載流子

Carrier 載流子、載波

Carry bit 進位位

Carry-in bit 進位輸入

Carry-out bit 進位輸出

Cascade 級聯

Case 管殼

Cathode 陰極

Center 中心

Ceramic 陶瓷(的)

Channel 溝道

Channel breakdown 溝道擊穿

Channel current 溝道電流

Channel doping 溝道摻雜

Channel shortening 溝道縮短

Channel width 溝道寬度

Characteristic impedance 特徵阻抗

Charge 電荷、充電

Charge-compensation effects 電荷補償效應

Charge conservation 電荷守恆

Charge neutrality condition 電中性條件

Charge drive/exchange/sharing/transfer/storage 電荷驅動/交換/共享/轉移/儲存

Chemmical etching 化學腐蝕法

Chemically-Polish 化學拋光

Chemmically-Mechanically Polish (CMP) 化學機械拋光 Chip 晶片

Chip yield 晶片成品率

Clamped 箝位

Clamping diode 箝位二極體

Cleavage plane 解理面

Clock rate 時鐘頻率

Clock generator 時鐘發生器

Clock flip-flop 時鐘觸發器

Close-packed structure 密堆積結構

Close-loop gain 閉環增益

Collector 集電極

Collision 碰撞

Compensated OP-AMP 補償運放

Common-base/collector/emitter connection 共基極/集電極/發射極連線

Common-gate/drain/source connection 共柵/漏/源連線

Common-mode gain 共模增益

Common-mode input 共模輸入

Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 相容性

Compensation 補償

Compensated impurities 補償雜質

Compensated semiconductor 補償半導體

Complementary Darlington circuit 互補達林頓電路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互補金氧半導體場效應電晶體

Complementary error function 餘誤差函式

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 計算機輔助設計/ 測試 /制

Compound Semiconductor 化合物半導體

Conductance 電導

Conduction band (edge) 導帶(底)

Conduction level/state 導帶態

Conductor 導體

Conductivity 電導率

Configuration 組態

Conlomb 庫侖

Conpled Configuration Devices 結構組態

Constants 物理常數

Constant energy surface 等能面

Constant-source diffusion恆定源擴散

Contact 接觸

Contamination 治汙

Continuity equation 連續性方程

Contact hole 接觸孔

Contact potential 接觸電勢

Continuity condition 連續性條件

Contra doping 反摻雜

Controlled 受控的

Converter 轉換器

Conveyer 傳輸器

Copper interconnection system 銅互連繫統

Couping 耦合

Covalent 共階的

Crossover 跨交

Critical 臨界的

Crossunder 穿交

Crucible坩堝

Crystal defect/face/orientation/lattice 晶體缺陷/晶面/晶向/晶

Current density 電流密度

Curvature 曲率

Cut off 截止

Current drift/dirve/sharing 電流漂移/驅動/共享

Current Sense 電流取樣

Curvature 彎曲

Custom integrated circuit 定製積體電路

Cylindrical 柱面的

Czochralshicrystal 直立單晶

Czochralski technique 切克勞斯基技術(Cz法直拉晶體J)

D

Dangling bonds 懸掛鍵

Dark current 暗電流

Dead time 空載時間

Debye length 德拜長度

De。broglie 德布洛意

Decderate 減速

Decibel (dB) 分貝

Decode 譯碼

Deep acceptor level 深受主能級

Deep donor level 深施主能級

Deep impurity level 深度雜質能級

Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 簡併半導體

Degeneracy 簡併度

Degradation 退化

Degree Celsius(centigrade) /Kelvin 攝氏/開氏溫度

Delay 延遲 Density 密度

Density of states 態密度

Depletion 耗盡

Depletion approximation 耗盡近似

Depletion contact 耗盡接觸

Depletion depth 耗盡深度

Depletion effect 耗盡效應

Depletion layer 耗盡層

Depletion MOS 耗盡MOS

Depletion region 耗盡區

Deposited film 澱積薄膜

Deposition process 澱積工藝

Design rules 設計規則

Die 晶片(複數dice)

Diode 二極體

Dielectric 介電的

Dielectric isolation 介質隔離

Difference-mode input 差模輸入

Differential amplifier 差分放大器

Differential capacitance 微分電容

Diffused junction 擴散結

Diffusion 擴散

Diffusion coefficient 擴散係數

Diffusion constant 擴散常數

Diffusivity 擴散率

Diffusion capacitance/barrier/current/furnace 擴散電容/勢壘/電流/爐

Digital circuit 數位電路

Dipole domain 偶極疇

Dipole layer 偶極層

Direct-coupling 直接耦合

Direct-gap semiconductor 直接帶隙半導體

Direct transition 直接躍遷

Discharge 放電

Discrete component 分立元件

Dissipation 耗散

Distribution 分佈

Distributed capacitance 分佈電容

Distributed model 分佈模型

Displacement 位移 Dislocation 位錯

Domain 疇 Donor 施主

Donor exhaustion 施主耗盡

Dopant 摻雜劑

Doped semiconductor 摻雜半導體

Doping concentration 摻雜濃度

Double-diffusive MOS(DMOS)雙擴散MOS。

Drift 漂移 Drift field 漂移電場

Drift mobility 遷移率

Dry etching 幹法腐蝕

Dry/wet oxidation 幹/溼法氧化

Dose 劑量

Duty cycle 工作週期

Dual-in-line package (DIP) 雙列直插式封裝

Dynamics 動態

Dynamic characteristics 動態屬性

Dynamic impedance 動態阻抗

E

Early effect 厄利效應

Early failure 早期失效

Effective mass 有效質量

Einstein relation(ship) 愛因斯坦關係

Electric Erase Programmable Read Only Memory(E2PROM) 一次性電可擦除只讀儲存器

Electrode 電極

Electrominggratim 電遷移

Electron affinity 電子親和勢

Electronic -grade 電子能

Electron-beam photo-resist exposure 光致抗蝕劑的電子束曝光

Electron gas 電子氣

Electron-grade water 電子級純水

Electron trapping center 電子俘獲中心

Electron Volt (eV) 電子伏

Electrostatic 靜電的

Element 元素/元件/配件

Elemental semiconductor 元素半導體

Ellipse 橢圓

Ellipsoid 橢球

Emitter 發射極

Emitter-coupled logic 發射極耦合邏輯

Emitter-coupled pair 發射極耦合對

Emitter follower 射隨器

Empty band 空帶

Emitter crowding effect 發射極集邊(擁擠)效應

Endurance test =life test 壽命測試

Energy state 能態

Energy momentum diagram 能量-動量(E-K)圖

Enhancement mode 增強型模式

Enhancement MOS 增強性

MOS Entefic (低)共溶的

Environmental test 環境測試

Epitaxial 外延的

Epitaxial layer 外延層

Epitaxial slice 外延片

Expitaxy 外延

Equivalent curcuit 等效電路

Equilibrium majority /minority carriers 平衡多數/少數載流子

Erasable Programmable ROM (EPROM)可搽取(程式設計)儲存器

Error function complement 餘誤差函式

Etch 刻蝕

Etchant 刻蝕劑

Etching mask 抗蝕劑掩模

Excess carrier 過剩載流子

Excitation energy 激發能

Excited state 激發態

Exciton 激子

Extrapolation 外推法

Extrinsic 非本徵的

Extrinsic semiconductor 雜質半導體

F

Face - centered 面心立方

Fall time 下降時間

Fan-in 扇入

Fan-out 扇出

Fast recovery 快恢復

Fast surface states 快介面態

Feedback 反饋

Fermi level 費米能級

Fermi-Dirac Distribution 費米-狄拉克分佈

Femi potential 費米勢

Fick equation 菲克方程(擴散)

Field effect transistor 場效應電晶體

Field oxide 場氧化層

Filled band 滿帶

Film 薄膜

Flash memory 閃爍儲存器

Flat band 平帶

Flat pack 扁平封裝

Flicker noise 閃爍(變)噪聲

Flip-flop toggle 觸發器翻轉

Floating gate 浮柵

Fluoride etch 氟化氫刻蝕

Forbidden band 禁帶

Forward bias 正向偏置

Forward blocking /conducting正向阻斷/導通

Frequency deviation noise頻率漂移噪聲

Frequency response 頻率響應

Function 函式

G

Gain 增益 Gallium-Arsenide(GaAs) 砷化鉀

Gamy ray r 射線

Gate 門、柵、控制極

Gate oxide 柵氧化層

Gauss(ian) 高斯

Gaussian distribution profile 高斯摻雜分佈

Generation-recombination 產生-複合

Geometries 幾何尺寸

Germanium(Ge) 鍺

Graded 緩變的

Graded (gradual) channel 緩變溝道

Graded junction 緩變結

Grain 晶粒

Gradient 梯度

Grown junction 生長結

Guard ring 保護環

Gummel-Poom model 葛謀-潘 模型

Gunn - effect 狄氏效應

H

Hardened device 輻射加固器件

Heat of formation 形成熱

Heat sink 散熱器、熱沉

Heavy/light hole band 重/輕 空穴帶

Heavy saturation 重摻雜

Hell - effect 霍爾效應

Heterojunction 異質結

Heterojunction structure 異質結結構

Heterojunction Bipolar Transistor(HBT)異質結雙極型晶體

High field property 高場特性

High-performance MOS。( H-MOS)高效能

MOS。 Hormalized 歸一化

Horizontal epitaxial reactor 臥式外延反應器

Hot carrior 熱載流子

Hybrid integration 混合整合

I

Image - force 鏡象力

Impact ionization 碰撞電離

Impedance 阻抗

Imperfect structure 不完整結構

Implantation dose 注入劑量

Implanted ion 注入離子

Impurity 雜質

Impurity scattering 雜誌散射

Incremental resistance 電阻增量(微分電阻)

In-contact mask 接觸式掩模

Indium tin oxide (ITO) 銦錫氧化物

Induced channel 感應溝道

Infrared 紅外的

Injection 注入

Input offset voltage 輸入失調電壓

Insulator 絕緣體

Insulated Gate FET(IGFET)絕緣柵

FET Integrated injection logic整合注入邏輯

Integration 整合、積分

Interconnection 互連

Interconnection time delay 互連延時

Interdigitated structure 互動式結構

Interface 介面

Interference 干涉

International system of unions國際單位制

Internally scattering 谷間散射

Interpolation 內插法

Intrinsic 本徵的

Intrinsic semiconductor 本徵半導體

Inverse operation 反向工作

Inversion 反型

Inverter 倒相器

Ion 離子

Ion beam 離子束

Ion etching 離子刻蝕

Ion implantation 離子注入

Ionization 電離

Ionization energy 電離能

Irradiation 輻照

Isolation land 隔離島

Isotropic 各向同性

J

Junction FET(JFET) 結型場效電晶體

Junction isolation 結隔離

Junction spacing 結間距

Junction side-wall 結側壁

L

Latch up 閉鎖

Lateral 橫向的

Lattice 晶格

Layout 版圖

Lattice binding/cell/constant/defect/distortion 晶格結合力/晶胞/晶格/晶格常熟

/晶格缺陷/晶格畸變

Leakage current (洩)漏電流

Level shifting 電平移動

Life time 壽命

linearity 線性度

Linked bond 共價鍵

Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生長技術

Lithography 光刻

Light Emitting Diode(LED) 發光二極體

Load line or Variable 負載線

Locating and Wiring 佈局佈線

Longitudinal 縱向的

Logic swing 邏輯擺幅

Lorentz 洛淪茲

Lumped model 集總模型

M

Majority carrier 多數載流子

Mask 掩膜板,光刻板

Mask level 掩模序號

Mask set 掩模組

Mass - action law質量守恆定律

Master-slave D flip-flop主從D觸發器

Matching 匹配

Maxwell 麥克斯韋

Mean free path 平均自由程

Meandered emitter junction梳狀發射極結

Mean time before failure (MTBF) 平均工作時間

Megeto - resistance 磁阻

Mesa 檯面

MESFET-Metal Semiconductor金屬半導體FET

Metallization 金屬化

Microelectronic technique 微電子技術

Microelectronics 微電子學

Millen indices 密勒指數

Minority carrier 少數載流子

Misfit 失配

Mismatching 失配

Mobile ions 可動離子

Mobility 遷移率

Module 模組

Modulate 調製

Molecular crystal分子晶體

Monolithic IC 單片IC MOSFET金氧半導體場效應電晶體

Mos。 Transistor(MOST )MOS。 電晶體

Multiplication 倍增

Modulator 調製

Multi-chip IC 多晶片IC

Multi-chip module(MCM) 多晶片模組

Multiplication coefficient倍增因子

N

Naked chip 未封裝的晶片(裸片)

Negative feedback 負反饋

Negative resistance 負阻

Nesting 套刻

Negative-temperature-coefficient 負溫度係數

Noise margin 噪聲容限

Nonequilibrium 非平衡

Nonrolatile 非揮發(易失)性

Normally off/on 常閉/開

Numerical analysis 數值分析

O

Occupied band 滿帶

Officienay 功率

Offset 偏移、失調

On standby 待命狀態

Ohmic contact 歐姆接觸

Open circuit 開路

Operating point 工作點

Operating bias 工作偏置

Operational amplifier (OPAMP)運算放大器

Optical photon =photon 光子

Optical quenching光猝滅

Optical transition 光躍遷

Optical-coupled isolator光耦合隔離器

Organic semiconductor有機半導體

Orientation 晶向、定向

Outline 外形

Out-of-contact mask非接觸式掩模

Output characteristic 輸出特性

Output voltage swing 輸出電壓擺幅

Overcompensation 過補償

Over-current protection 過流保護

Over shoot 過沖

Over-voltage protection 過壓保護

Overlap 交迭

Overload 過載

Oscillator 振盪器

Oxide 氧化物

Oxidation 氧化

Oxide passivation 氧化層鈍化

P

Package 封裝

Pad 壓焊點

Parameter 引數

Parasitic effect 寄生效應

Parasitic oscillation 寄生振盪

Passination 鈍化

Passive component 無源元件

Passive device 無源器件

Passive surface 鈍化介面

Parasitic transistor 寄生電晶體

Peak-point voltage 峰點電壓

Peak voltage 峰值電壓

Permanent-storage circuit 永久儲存電路

Period 週期

Periodic table 週期表

Permeable - base 可滲透基區

Phase-lock loop 鎖相環

Phase drift 相移

Phonon spectra 聲子譜

Photo conduction 光電導

Photo diode 光電二極體

Photoelectric cell 光電池

Photoelectric effect 光電效應

Photoenic devices 光子器件

Photolithographic process 光刻工藝

(photo) resist (光敏)抗腐蝕劑

Pin 管腳

Pinch off 夾斷

Pinning of Fermi level 費米能級的釘扎(效應)

Planar process 平面工藝

Planar transistor 平面電晶體

Plasma 等離子體

Plezoelectric effect 壓電效應

Poisson equation 泊松方程

Point contact 點接觸

Polarity 極性

Polycrystal 多晶

Polymer semiconductor聚合物半導體

Poly-silicon 多晶矽

Potential (電)勢

Potential barrier 勢壘

Potential well 勢阱

Power dissipation 功耗

Power transistor 功率電晶體

Preamplifier 前置放大器

Primary flat 主平面

Principal axes 主軸

Print-circuit board(PCB) 印製電路板

Probability 機率

Probe 探針

Process 工藝

Propagation delay 傳輸延時

Pseudopotential method 膺勢發

Punch through 穿通

Pulse triggering/modulating 脈衝觸發/調製Pulse

Widen Modulator(PWM) 脈衝寬度調製

Punchthrough 穿通

Push-pull stage 推輓級

Q

Quality factor 品質因子

Quantization 量子化

Quantum 量子

Quantum efficiency量子效應

Quantum mechanics 量子力學

Quasi – Fermi-level準費米能級

Quartz 石英

R

Radiation conductivity 輻射電導率

Radiation damage 輻射損傷

Radiation flux density 輻射通量密度

Radiation hardening 輻射加固

Radiation protection 輻射保護

Radiative - recombination輻照複合

Radioactive 放射性

Reach through 穿通

Reactive sputtering source 反應濺射源

Read diode 裡德二極體

Recombination 複合

Recovery diode 恢復二極體

Reciprocal lattice 倒核子

Recovery time 恢復時間

Rectifier 整流器(管)

Rectifying contact 整流接觸

Reference 基準點 基準 參考點

Refractive index 折射率

Register 暫存器

Registration 對準

Regulate 控制 調整

Relaxation lifetime 馳豫時間

Reliability 可靠性

Resonance 諧振

Resistance 電阻

Resistor 電阻器

Resistivity 電阻率

Regulator 穩壓管(器)

Relaxation 馳豫

Resonant frequency共射頻率

Response time 響應時間

Reverse 反向的

Reverse bias 反向偏置

S

Sampling circuit 取樣電路

Sapphire 藍寶石(Al2O3)

Satellite valley 衛星谷

Saturated current range電流飽和區

Saturation region 飽和區

Saturation 飽和的

Scaled down 按比例縮小

Scattering 散射

Schockley diode 肖克萊二極體

Schottky 肖特基

Schottky barrier 肖特基勢壘

Schottky contact 肖特基接觸

Schrodingen 薛定厄

Scribing grid 劃片格

Secondary flat 次平面

Seed crystal 籽晶

Segregation 分凝

Selectivity 選擇性

Self aligned 自對準的

Self diffusion 自擴散

Semiconductor 半導體

Semiconductor-controlled rectifier 可控矽

Sendsitivity 靈敏度

Serial 序列/串聯

Series inductance 串聯電感

Settle time 建立時間

Sheet resistance 薄層電阻

Shield 遮蔽

Short circuit 短路

Shot noise 散粒噪聲

Shunt 分流

Sidewall capacitance

邊牆電容 Signal 訊號

Silica glass 石英玻璃

Silicon 矽

Silicon carbide 碳化矽

Silicon dioxide (SiO2) 二氧化矽

Silicon Nitride(Si3N4) 氮化矽

Silicon On Insulator 絕緣矽

Siliver whiskers 銀鬚

Simple cubic 簡立方

Single crystal 單晶

Sink 沉

Skin effect 趨膚效應

Snap time 急變時間

Sneak path 潛行通路

Sulethreshold 亞閾的

Solar battery/cell 太陽能電池

Solid circuit 固體電路

Solid Solubility 固溶度

Sonband 子帶

Source 源極

Source follower 源隨器

Space charge 空間電荷

Specific heat(PT) 熱

Speed-power product 速度功耗乘積 Spherical 球面的

Spin 自旋 Split 分裂

Spontaneous emission 自發發射

Spreading resistance擴充套件電阻

Sputter 濺射 Stacking fault 層錯

Static characteristic 靜態特性

Stimulated emission 受激發射

Stimulated recombination 受激複合

Storage time 儲存時間

Stress 應力

Straggle 偏差

Sublimation 昇華

Substrate 襯底

Substitutional 替位式的

Superlattice 超晶格

Supply 電源 Surface 表面

Surge capacity 浪湧能力

Subscript 下標

Switching time 開關時間

Switch 開關

T

Tailing 擴充套件

Terminal 終端

Tensor 張量 Tensorial 張量的

Thermal activation 熱激發

Thermal conductivity 熱導率

Thermal equilibrium 熱平衡

Thermal Oxidation 熱氧化

Thermal resistance 熱阻

Thermal sink 熱沉

Thermal velocity 熱運動

Thermoelectricpovoer 溫差電動勢率

Thick-film technique 厚膜技術

Thin-film hybrid IC薄膜混合積體電路

Thin-Film Transistor(TFT) 薄膜晶體

Threshlod 閾值

Thyistor 閘流體

Transconductance 跨導

Transfer characteristic 轉移特性

Transfer electron 轉移電子

Transfer function 傳輸函式 Transient 瞬態的

Transistor aging(stress) 電晶體老化

Transit time 渡越時間

Transition 躍遷

Transition-metal silica 過度金屬矽化物

Transition probability 躍遷機率

Transition region 過渡區

Transport 輸運 Transverse 橫向的

Trap 陷阱 Trapping 俘獲

Trapped charge 陷阱電荷

Triangle generator 三角波發生器

Triboelectricity 摩擦電

Trigger 觸發

Trim 調配 調整

Triple diffusion 三重擴散

Truth table 真值表

Tolerahce 容差

Tunnel(ing) 隧道(穿)

Tunnel current 隧道電流

Turn over 轉折

Turn - off time 關斷時間

U

Ultraviolet 紫外的

Unijunction 單結的

Unipolar 單極的

Unit cell 原(元)胞

Unity-gain frequency 單位增益頻率

Unilateral-switch單向開關

V

Vacancy 空位 Vacuum 真空

Valence(value) band 價帶 Value band edge 價帶頂

Valence bond 價鍵 Vapour phase 汽相

Varactor 變容管 Varistor 變阻器

Vibration 振動 Voltage 電壓

W

Wafer 晶片

Wave equation 波動方程

Wave guide 波導

Wave number 波數

Wave-particle duality 波粒二相性

Wear-out 燒燬

Wire routing 佈線

Work function 功函式

Worst-case device 最壞情況器件

Y

Yield 成品率

Z

Zener breakdown 齊納擊穿

Zone melting 區熔法

標簽: TIME  Bonding  半導體  effect  contact