您當前的位置:首頁 > 歷史

群雄逐鹿光刻圈 | 半導體行業觀察

作者:由 張競揚 摩爾精英 發表于 歷史時間:2018-11-27

近期,半導體界掀起了一陣小小的光刻潮,原因就是浸潤式光刻技術的開創者林本堅博士獲得了2018年未來科學大獎-數學與計算機科學獎,並於近日進行了多次主題演講,使半導體光刻這項高階而又略顯神秘的技術形象地展示在了業界人士面前,也使得越來越多的人對它產生了興趣,希望能夠進一步瞭解和研討。

這裡,首先了解一下光刻技術及其發展狀況。

光刻流程

光刻(photolithography)就是將掩模版(光刻板)上的幾何圖形轉移到覆蓋在半導體襯底表面的、對光輻射敏感的薄膜材料(光刻膠)上的過程。在半導體制造技術中,最為關鍵的是用於電路圖形生成和複製的光刻技術,晶片在生產過程中需要進行 20~30 次的光刻。光刻技術在整個IC製造過程中是最重要的一環,其成本佔據了整體制造成本的35%。

一般的光刻工藝要經歷以下幾個流程:底膜處理→塗膠→前烘→曝光→顯影檢驗→刻蝕→去膠→最終檢測等。

群雄逐鹿光刻圈 | 半導體行業觀察

圖:光刻工藝流程

不同的曝光方式,對解析度和掩膜版的損傷各有不同。對於接觸式光刻,塗有光刻膠的矽片與掩膜版直接接觸,因此解析度較高,但在接觸和對準時,矽片上的灰塵會對掩膜版造成損傷;對於接近式光刻,由於掩膜版和光刻膠之間有間隙,會產生衍射,降低了解析度;投影式光刻利用透鏡或反射鏡將掩膜版上的圖形投影到襯底,可以完全避免對掩膜版的損傷。

光刻技術發展路徑

隨著林本堅博士開創了浸潤式光刻技術(Immersion Lithograpy),2002年之後,該技術迅速成為光刻界的新寵。在傳統光刻技術中,鏡頭與光刻膠之間的介質是空氣,而所謂浸潤式光刻,就是將空氣換成液體,利用光透過液體介質後波長縮短來提高解析度。尤其是 ArF(193nm)浸潤式光刻機的出現,使得半導體制程從90nm一直髮展至14nm。

隨著製程發展到10nm,多重曝光(Multi-patterning,MP)使IC製造廠得以在現有光刻技術的基礎上繼續提高光刻解析度。光刻機解析度W如下圖所示,為了提高解析度,光刻機會盡量減小k1,增大數值孔徑NA,並選用更短波長。在 IC 設計不妥協的情況下,k1最小為0。6左右,在EDA軟體的解析度增強技術(RET)及光學臨近效應修正技術(OPC)輔助下,k1值最小可降至0。2左右,對應制程節點大約30nm。如進行更小尺寸光刻,OPC修正圖案會重疊,解決方法是將圖形分為兩個獨立的光罩,即採用多重圖案曝光。採用多重圖案化技術後, k1值可以降至0。2以下,以進一步提高光刻解析度。

群雄逐鹿光刻圈 | 半導體行業觀察

下面看一下光刻機的情況。

光刻機種類

按照應用劃分,光刻機有好幾種,本文只討論用於積體電路製造的。

光刻機是晶片製造的核心裝置之一,在所有裝置中,光刻機佔比最高(35%),其次是刻蝕裝置(20%),PVD(15%),CVD(10%),量測裝置(10%),離子注入裝置(5%)等。

按照曝光方式,光刻機可分為直寫式、接近接觸式和投影式光刻三種。直寫式由於曝光場太小,通常用於製作掩模板;接近接觸式是指光刻膠與掩模板接觸或略有縫隙,應用較廣;投影式是指在掩膜板與光刻膠之間使用光學系統聚集光實現曝光,以進一步提高解析度。

此外,經過數十年的演進,還發展出了分步重複光刻機、步進掃描光刻機、極紫外光刻機(EUV)等。

光源是光刻機的核心部件,其由最初的G線(436nm)、H線(405nm)和I線(365nm),向KrF(248nm)、ArF(193nm)方向演變。目前,市場上主流產品為ArF光刻機,包括步進掃描投影光刻機、 浸沒式步進掃描投影光刻機(ArFi),而EUV光刻機於2010年由ASML推出。

國際光刻裝置市場

根據Technavio研究報告預測,2017年光刻機市場為88億美元,同比增長13%。預計到2020年,市場規模將達到115億美元,複合年增長率CAGR為9。18%。

目前,全球光刻機市場主要由荷蘭的ASML、日本尼康和佳能三家把持,其中,ASML佔據了80%的市場份額,並壟斷了高階光刻機市場。2017年全球光刻機出貨294 臺,其中ASML出貨198臺,佔比67%,高階光刻機EUV全球出貨11臺,全部由ASML供應,主流機型ArFi光刻機ASML出貨76臺,佔比超過93%。

佳能2017年出貨70臺,均屬於中低端機型。尼康出貨26臺,市場份額僅為9%,影響力較小。

在EUV裝置製造過程中,由於EUV波長僅13。5nm,沒有合適介質進行精準折射,因而所有光路設計均採用反射的形式,設計更加複雜,對精度要求極高,製造難度極大。

ASML最新的EUV光刻機NXE 3400B能支援7nm和5nm晶片的批次生產,使用13。5nm波長的EUV光源,光學系統的數值孔徑(NA)為 0。33,解析度為13nm,而尼康最新的ArF Immersion NSR-S631E浸潤式光刻機落後EUV一代,使用139nm波長的ArF準分子鐳射,NA為1。35,解析度最高38nm。

從價位上看,NXE 3400B和3350B的銷售單價超過1億美元,ArF Immersion售價大約在7000 萬美元左右,而尼康光刻機的單價只有EUV光刻機的三分之一。

目前,光刻機下游市場需求旺盛,但光刻系統市場供給遠遠不能滿足需求,很重要的原因在於上游原材料/部件精度不符合要求,除了來自蔡司的鏡頭的供應不足之外,還有裝置上的晶片保護膜仍需要改進。

中國本土供給狀況

可以說,光刻機是中國本土在半導體裝置製造上的短板,目前國內光刻機裝置商較少,在技術上與國外還存在較大差距,且大多以鐳射成像技術為主。國內IC製造廠所需的高階光刻機完全依賴進口。

國內研發和生產用於IC製造的光刻機廠商主要有上海微電子,生產光刻機投影物鏡的有長春國科精密光學技術有限公司,還有中國電子科技集團公司第四十五研究所和第四十八研究所,以及中國科學院光電技術研究所等。

目前,國內光刻機龍頭企業上海微電子的先進裝置,如SSA600/20步進掃描光刻機,只能滿足前道IC製造90nm關鍵層和非關鍵層的需求。該公司SMEE 600系列IC前道投影光刻機相容200mm和300mm矽片。不過,該公司用於後道工序的封裝光刻機在國內市佔率高達80%,全球市佔率也可達到40%。

中國電子科技集團公司第四十五研究所則是國內專門從事電子元器件關鍵工藝裝置技術研發和生產製造的國家重點科研生產單位,其主要晶片製造裝置有光刻機和探針臺,其中 BG-406 系列光刻機支援6英寸單/雙面曝光,TZ-803A自動探針測試臺相容5~8英寸晶圓。

摩爾定律遭遇挑戰

當CMOS積體電路製程工藝節點到達7nm時,由於已接近原子級,工藝技術開始遭遇挑戰,主要有:新的器件結構、紫外/奈米光刻裝置門檻、材料互連線近極限(電子遷移與互擾效應)等。

光刻方面,一方面由於IC製造過程中使用的光刻技術相對於摩爾定律顯得相對滯後,雖然IC業界寄予厚望的光刻裝置也在緊鑼密鼓的研發中,但受限於技術成熟度,先進裝置尚不能達到量產水平,這使IC製造在製程節點不斷微縮的情況下,成本呈現指數增長。

另外,2018年蘋果A12、高通驍龍8150、三星Exynos 9820和華為Kirin 980等處理器陸續推出,製程節點發展到7nm量產階段,已經非常接近FinFET製程的物理極限5nm,這對光刻技術提出了更高的要求。

7nm開始呼喚EUV

當製程節點發展到7nm時,193nm浸潤式光刻開始力不從心,其單步曝光工藝(NA=1。35)的K1可以縮減到0。3,能夠實現32nm的解析度。而透過兩次圖形化工藝和解析度增強技術, K1可以進一步縮小至0。15,此時,解析度接近22nm。和單次曝光相比,Multiple Patterning可以實現更高水平的製程。但使用多重曝光會帶來兩大問題:一、光刻+掩膜的成本上升,且影響良率;二、工藝的迴圈週期延長,多重曝光不僅增加光刻次數,還增加了刻蝕和機械研磨次數。

目前來看,使用浸潤式和多重曝光的193nm ArF光刻機可以將工藝節點微縮至7nm,但是光刻次數也到達了3~4 次,生產十分困難。

在這樣的情況下,業界一直在呼喚EUV,EUV可以有效降低成本,延續摩爾定律。隨著晶片製程節點不斷微縮,原有的193nm ArF鐳射已不再適用。當大波長的鐳射用來製造7nm晶片時,會增加製造過程的複雜性和成本,而EUV則可以用更短的時間和更簡便的方法實現,相較於ArF鐳射多次曝光,EUV一次曝光就可以刻出相同的圖形,從而大大縮減了晶片製造步驟。根據ASML統計,相比於ArFi光刻,EUV可以使5nm製程的成本降低30%,良率由50%提升至80%。

此外,EUV在分步投影光刻技術的基礎上,只採用波長更短的遠紫外線作為曝光光源,透過準分子鐳射光刻出細線條,它還可以透過鐳射/電子束將電路設計圖寫在光掩模版上。

在7nm節點出現了兩種技術發展路徑,包括採用193nm波長+SAQP(四重圖案化)達到所需的解析度,也可採用EUV+單次圖案化,英特爾所選擇的是前一種技術路線,而臺積電和三星則選擇了後一種技術路線。

以後的5nm節點,193i光刻技術難度更大,採用EUV雙重圖案化是較為理想的選擇。

EUV的應用進展

三星和臺積電都在2018年開始採用7nm製程工藝,目前,臺積電已經實現量產。臺積電規劃2019年7nm+製程將開始匯入EUV,2020年5nm工藝將正式全面匯入並使用EUV。隨著EUV在先進製程應用上的普及,預計光刻機市場將會有更快速地增長。

據悉,臺積電7nm相較於10nm節點實現了20%的速度提升或功耗降低40%,電晶體密度增加1。6倍,相比之下,採用EUV的7nm+節點將帶來20%的電晶體密度提升、10%功率減少,但沒有效能提升。

今年8月,用於7nm+節點的EDA工具認證已經就緒,臺積電預計2019年4月開始生產EUV版7nm+產品,未來的5nm節點相較於非EUV的7nm節點,預計電晶體密度會提升1。8倍,功率降低20%,速度提升15%,或在極低閾值電壓下,速度提升25%,臺積電的目標是在2020年實現5nm量產。

預計2019年量產的臺積電7nm+EUV、三星7nm、英特爾10nm的各項引數基本相近,主要區別在於:臺積電和三星採用EUV,而英特爾10nm節點一再推遲:後段採用多重四圖案曝光(SAQP)良率較低可能是主要原因。儘管英特爾在10nm節點的特徵尺寸等同於臺積電7nm的,但未採用EUV光刻技術,而是繼續採用波長193nm的深紫外結合多重曝光進行光刻。

實際上,英特爾很早就開始了10nm專案,原計劃2016年量產,當時EUV尚未成熟,故英特爾選擇了SAQP的技術路徑,但在研發過程中遭遇困難,導致10nm一再推遲。

橫向對比臺積電、三星和英特爾這三家廠商前後段曝光技術,會發現前段工藝基本相似,鰭片形成均採用了SAQP,柵極形成均採用了多重雙圖案曝光,contact層形成採用了LE(光照-刻蝕)、LELE、LELELE的方法,三星採用了EUV 方法。

而在後段金屬層形成方面,三家廠商技術路線有明顯區別:臺積電7nm採用多重雙圖案曝光,三星採用EUV方法,而英特爾選擇了多重四圖案曝光的方法。後段M1金屬層較SADP大幅增加了難度,同時英特爾還在Contact層和M1金屬層首次採用了金屬Co。在此方法下,最小金屬間距可以達到36nm,等同於三星採用EUV方法達到的效果,並明顯小於臺積電 SADP方法達到的40nm。也就是說,英特爾運用後段的SAQP方法,實現了同 EUV一樣的效果,但良率僅能達到50%~60%,不能滿足量產要求,導致英特爾10nm一再推遲。

據悉,2018年,臺積電7nm製程晶片量產超過50種,包括CPU、GPU、AI加速晶片、礦機ASIC、網路、遊戲、汽車晶片等。

從目前的情況來看,2019年臺積電將率先量產EUV版製程IC,保持先發優勢。

結語

關於摩爾定律的發展現狀及前景,有人悲觀,有人樂觀,各有各的說法和道理,而光刻技術的發展路徑似乎與摩爾定律有些相似,到了真正需要攻堅克難的時候了。半導體制造是一門大而難的學問,而光刻可以說是半導體制造的先鋒,其發展必將持續被關注。

標簽: 光刻  光刻機  EUV  7nm  製程